mangakojimangakoji のブックマーク 2014/04/10 07:31

その他

このブックマークにはスターがありません。
最初のスターをつけてみよう!

VHDL

    【ユーザ定義の型】 ユーザは新しいデータ型を新たに定義することが出来ます。 その時使うのが type 、subtype という宣言文です。 (1) 型定義 新しい型定義に使う type文の書式は下記のような種類となります...

    \ コメントが サクサク読める アプリです /

    • App Storeからダウンロード
    • Google Playで手に入れよう