タグ

scalaとinlineに関するmasterqのブックマーク (1)

  • Chiselの中にVerilogを埋め込む方法 - FPGA開発日記

    ChiselはScalaをベースにしたハードウェア記述言語で、より高位な記法を使ってハードウェアを設計しようという考え方を持っている。 しかし、どうしてもVerilogを使いたい場合、あるいはある部品は既存のVerilogで記述されたものを使いたいと思うときがある。 例えば、Chiselを使えばメモリも記述することができるが、実際にはSRAMに置き換えたい場合であったり、FPGAで推論しやすくするためにVerilogで書いたモジュールに置き換えたい場合がある。このような場合に、どのようにChiselを記述するのかについて調査した。 ChiselにVerilogを埋め込むためのBlackBoxモジュール ChiselにVerilogを埋め込むための方法は2つ存在する。 ブラックボックスのモジュールを作成し、モジュール内にインラインでVerilogを記述する。 ブラックボックスのモジュールを作

    Chiselの中にVerilogを埋め込む方法 - FPGA開発日記
    masterq
    masterq 2019/01/05
    インラインVerilog...
  • 1