タグ

docとscalaに関するmasterqのブックマーク (2)

  • ソフト屋のための SpinalHDL FPGA 設計入門(その1) | IoT ソフトウェア設計のファームロジックス [相模原]

    FPGA design tutorial by SpinalHDL for embedded software engineers. 先日、SpinalHDL というハードウェア記述言語を簡単に紹介しました。最終的な目標は RISC-V を使って FPGA 上に SoC を設計実装することですが、目標が高すぎるのでハードルを下げます。まずは、VexRiscv を実装しているハードウェア記述言語 SpinalHDL を(少し)勉強し、簡単な論理回路を FPGA(具体的には TinyFPGA BX)上で動作させてみたいと思います。 少しだけ前書き(tl;dr;) 私は組込ソフトウェアの設計が専門で、HDL も FPGA も門外漢です。なぜいま FPGA か、というのは先日書きましたが、基的な考えとしては、設計や実装には常に適切なツール、言語を利用したい、ということがあります。たとえば、最近流

    masterq
    masterq 2021/10/23
    Chiselほとんど同じ?moduleに必ずclkとresetが付くのは便利なんだけど、非同期回路を作りたい時はどうすれば良いんだろうか
  • Chisel-Bootcampを試してみる(1. Chiselの基礎からScalaを活用した回路設計法まで) - FPGA開発日記

    Chiselの勉強を格的にやっているのだが、教材として良いものを見つけた。Chisel-Bootcampというものだ。 github.com Chiselの基礎から、チュートリアル風に、サンプルを交えながら、途中でExerciseも出てくるのでそれをこなしながら進めていく。 来はJupyter Notebook上でやるものらしいが、手元にJupyterの環境を作るのが面倒だし、どうせならLinux上で実行できる環境が欲しかったのでCUI上で実行できるリポジトリを作ってそちらで試している。 一応Chapter-1とChapter-2は適当に流してみた。Exerciseもやってみたが分からないものは模範解答を調べてしまったし、最適化も不十分なのであまり優良なコードではないかもしれない。 とはいえ、Chiselをフル活用して回路をデザインするためにはどうしたらよいのかしっかり解説してあるので

    Chisel-Bootcampを試してみる(1. Chiselの基礎からScalaを活用した回路設計法まで) - FPGA開発日記
  • 1