Deign Wave Magazine 本誌記事をキーワードで検索することが出来ます. キーワードを入力して,「検索」ボタンを押して下さい. ※複数のキーワードをスペースで区切り,OR検索を行うことができます. AND検索を行う場合には,キーワードの先頭に"+"をつけてください. (例)「通信」と「FPGA」のORをとる場合:"通信 FPGA"と入力 「通信」と「FPGA」のANDをとる場合:"+通信 +FPGA"と入力
61 15 > > > > > 62 library IEEE; use IEEE.std_logic_1164.all ; use IEEE.std_logic_unsigned.all ; entity FIFO_SYNC is port ( RST : in std_logic; CLK : in std_logic; DIN : in std_logic_vector(7 downto 0); DOUT : out std_logic_vector(7 downto 0); WEN : in std_logic; REN : in std_logic; OE : in std_logic; EF : out std_logic; FF : out std_logic ); end FIFO_SYNC; architecture RTL of FIFO_SYNC is subtype
リリース、障害情報などのサービスのお知らせ
最新の人気エントリーの配信
処理を実行中です
j次のブックマーク
k前のブックマーク
lあとで読む
eコメント一覧を開く
oページを開く