61 15 > > > > > 62 library IEEE; use IEEE.std_logic_1164.all ; use IEEE.std_logic_unsigned.all ; entity FIFO_SYNC is port ( RST : in std_logic; CLK : in std_logic; DIN : in std_logic_vector(7 downto 0); DOUT : out std_logic_vector(7 downto 0); WEN : in std_logic; REN : in std_logic; OE : in std_logic; EF : out std_logic; FF : out std_logic ); end FIFO_SYNC; architecture RTL of FIFO_SYNC is subtype